长延时脉冲定时

⚠️
大家好. .谢谢你来到论坛。令人兴奋的消息!我们现在正在转移到新的论坛平台,它将提供更好的功能,包含在主对话网站中。所有岗位和账户都已迁移。我们现在只接受新论坛的流量-请在上面发布任何新帖子//www.xmece.com/support.我们将在未来几天修复bug /优化搜索和标记。
7个帖子/ 0个新
最后发表
vmore.
离线
最后看到:8个月,3个星期前
加入:2018-03-01十八22
长延时脉冲定时

你好,

我使用的是来自系统复位IC的参考,但我需要更长的延迟,为此我级联了两个块,如附件所示。如果脉冲消失,我希望复位脉冲继续以所需的间隔出现。在模拟模式中,我注意到时间略大于3s,例如根据附件中显示的设置。后续脉冲之间的实际时间约为3.4秒。如果我增加时间,恐怕这个错误就会增加。这是由于设计上的错误吗?我还没有编程芯片,这个测试是在模拟模式。谢谢!

附件:
设备:
Alex Richardson.
离线
最后看到:2个月前1年
加入:2018-04-16 18:01
你好vmore,

你好vmore,

幸运的是,您所遇到的问题是可以解决的!如果你注意到,在延迟时间(典型)上有一个蓝色的公式((计数器数据+1)+变量)/时钟,其中“变量”是在0和1之间。由于CNT0/DLY0在您的设计中只有一个计数器值5,这可能是相当明显的(最多半秒)。

如果您正在增加总体延迟,则不应该编译此错误。但是,如果您希望使这种偏差比目前的严重得多,我建议将一些计数器数据从CNT6/DLY6重新分配到CNT0/DLY0。例如,CNT6/DLY6值为50和CNT0/DLY0值为22将给出相同的总体延迟,但误差要小得多。

我希望这对你有所帮助!如果这个更改没有改善模拟,请告诉我,我将继续以任何我可以的方式帮助您的设计。

vmore.
离线
最后看到:8个月,3个星期前
加入:2018-03-01十八22
啊我明白了。谢谢你的意思

啊我明白了。谢谢您的快速响应,我将继续尝试。

vmore.
离线
最后看到:8个月,3个星期前
加入:2018-03-01十八22
你好!

你好!

我希望你能在这里帮助我。我注意到CNT5/DLY5一旦打开带有ext Vdd的模拟或测试模式就会产生脉冲。我不太确定为什么会触发它,因为计数器还没有达到触发脉冲的极限。我如何修改设计以确保这种情况不会发生?如果我去掉电路的其余部分,只设置一次脉冲,我看到它在模拟开始时被触发,所以看起来我的电路的其余部分并不是设置一次脉冲的那个。
编辑:这个脉冲看起来异常高,大约5v,甚至当我选择测试模式与外部Vdd。在瞄准镜上,看起来像是一个小脉冲后面跟着一个长脉冲。这作何解释呢?请见附件图片

Alex Richardson.
离线
最后看到:2个月前1年
加入:2018-04-16 18:01
你好vmore,

你好vmore,

很有可能此脉冲与计数器无关,但实际上是由于模拟配置。根据引脚的不同,高级开发板可以向GreenPAK发送信号来配置您的设计。这个信号可以在示波器上以微秒的顺序被看到,这看起来就像你在一个更大的时间分割上看到的脉冲,比如250ms。

一种方法来确认这是问题是编程芯片并在测试模式下从DEV板运行模拟。由于不需要使用编程的IC来仿真配置,因此不应显示该脉冲。

如果模拟器不是脉冲的原因,那么一个deboundfilter后的一次射击将阻止这个脉冲。这可以通过使用另一个延迟电路来实现,并将边缘延迟设置为高于错误脉冲和低于单次脉冲的值。

如果你需要进一步的帮助,让我知道,我很乐意帮助!

vmore.
离线
最后看到:8个月,3个星期前
加入:2018-03-01十八22
嗨,亚历克斯,

嗨,亚历克斯,

因此,我只是观察到,这些脉冲只显示在测试点(几乎每个测试点),一旦模拟/测试启动,而不是在扩展连接器,这本身似乎对我来说很奇怪。如果我使用扩展连接器连接我的输出,初始杂散脉冲是不可见的。

谢谢!

Alex Richardson.
离线
最后看到:2个月前1年
加入:2018-04-16 18:01
嗨vmore,

嗨vmore,

这是一个很有希望的迹象,您看到的脉冲实际上是模拟配置GreenPAK;仿真配置几乎遇到每一个引脚。如果你减少范围的时间划分,你应该能够看到有独立的位穿过每个引脚。这将是确认这只是一个模拟脉冲而不是设计固有的一种快速方法。